min pulse width直译为“最小脉冲宽度”,脉冲宽度检查用来确保时序单元输入引脚的脉冲宽度满足最小要求。如果时钟引脚上的脉冲宽度小于指定的最小值,时钟可能没有正确的锁存数据。

1.约束方式

1)sdc

set_min_pulse_width -low/-high 2.0 [get_clocks clk]

2)timing library

2.计算方法

脉冲宽度检查分为高电平和低电平脉冲宽度检查两部分。

1)高电平脉冲宽度检查

close edge clock latency - open edge clock latency - required pulse width(high) =

(时钟初始下降沿时间 + 下降沿到达clock pin的clock network latency + CPPR - uncertainty(hold) ) - (时钟初始上升沿时间 + 上升沿到达clock pin的clock network latency) - required pulse width(high)

举例如下:

这里:

时钟源头起始下降时间=59 ns

时钟源头起始上升时间=0 ns

这里:

下降沿到达时序逻辑clock pin 的时间=1.77ns

上升沿到达时序逻辑clock pin 的时间=2.06ns

CPPR (clock reconvergence pessimism)=0.19ns

hold uncertainty=0.22ns

required pulse width(high)=0.12ns

所以高电平脉冲宽度检查的值为(59+1.77 +0.19 -0.22) - (0+2.06)-0.12=58.57

2)低电平脉冲宽度检查

open edge clock latency - close edge clock latency - required pulse width(low) =

(时钟周期 + 时钟初始上升沿时间 + 上升沿到达clock pin的clock network latency + CPPR - uncertainty(hold) ) - (时钟初始下降沿时间 + 下降沿到达clock pin的clock network latency) - required pulse width(low)

举例如下:

这里时钟周期+初始上升沿时间,即为第二个周期上升沿时间,等于531ns。

下降沿到达时序逻辑clock pin 的时间=1.84ns

上升沿到达时序逻辑clock pin 的时间=1.74ns

CPPR (clock reconvergence pessimism)=0.18ns

hold uncertainty=0.22ns

required pulse width(high)=0.12ns

所以低电平脉冲宽度检查的值为(531+1.74+0.18 -0.22) - (295+1.84)-0.12=235.74

3.解决方法

针对clock path可以:

1)缩短clock path(换LVT,修transition,调整clock pin靠近clock root);

2)换inverter长tree(成对的inverter上升时间与下降时间相互补偿)或者balance buffer(上升时间和下降时间几乎相等);

3)修crosstalk的Delta delay(主要的min pulse width问题来源就是crosstalk,Delta delay让一边latency更短,另一边更长)

4)用非对称cell 如normal buffer 来修只对high-pulse width或low-pulse witdh 一个方向的violation。

静态时序分析—脉冲宽度检查(Pulse Width Check)相关推荐

  1. 静态时序分析(STA)基本概念

    STA(Static Timing Analysis,静态时序分析)是时序分析方法中的一种,另一种叫时序仿真(Timing Simulation),不仅能验证时序还可以验证功能,时序分析通常指的就是这 ...

  2. 静态时序分析 第三章 标准单元库

    第三章 标准单元库 3.1 引脚电容(Pin Capacitance) 3.2 时序建模(Timing Modeling) 3.2.1 线性时序模型(Linear Timing Model) 3.2. ...

  3. java时序图工具_每日学习:静态时序分析入门面面观

    关注并标星大同学吧 每天1次,打卡学习 积累1个新知识,增1分职场底气 作者称谓:Jack xu 个人介绍:不断学习的数字后端工程师 微信公众号:志芯 半导体知识分享第41期 技能升级,从这里开始 本 ...

  4. 一念心动,一生绵延——如何修复min pulse width?

    今天我们来介绍如何来修复ECO阶段的min pulse width violation(最小脉冲宽度违例).首先,我们来介绍一下什么是min pulse width. min pulse width, ...

  5. 静态时序分析——基础概念

    一.简述 静态时序分析是检查系统时序是否满足要求的主要手段.以往时序的验证依赖于仿真,采用仿真的方法,覆盖率跟所施加的激励有关,有些时序违例会被忽略.此外,仿真方法效率非常的低,会大大延长产品的开发周 ...

  6. IC验证:静态时序分析

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言 一.数字IC设计流程(前端+后端) 二.静态时序分析在芯片设计中的地位 三.静态时序分析的作用 四.静态时序分析过程 ...

  7. 翻译不是一个有效的路径_【第一章:绪论】静态时序分析圣经翻译计划

    本文由知乎赵俊军授权转载,知乎主页为https://www.zhihu.com/people/zhao-jun-jun-19 众所周知,静态时序分析是IC工程师必备知识点,也是秋招中笔试面试的高频考点 ...

  8. [静态时序分析简明教程(一)] 绪论

    静态时序分析简明教程一:绪论 一.写在前面 1.1 快速导航链接· 二.什么是静态时序分析 三.为什么需要时序约束 四.约束的第一步:综合 4.1 什么是综合 4.2 综合与时序约束的关系 4.2.1 ...

  9. 《静态时序分析实用方法》 第一章翻译

    第1章 引言 解释了什么是静态时序分析以及它如何用于时序验证.还描述了功率和可靠性方面的考虑. 概述了纳米设计的静态时序分析程序.本章解决了诸如什么是静态时序分析.噪声和串扰的影响是什么.如何使用这些 ...

最新文章

  1. Python os库的使用
  2. 关于Linux中文件权限的探究
  3. TCP首部和TCP的特性—Vecloud微云
  4. oracle00312,oracle 启动 报ORA-16014 和 ORA-00312
  5. 最小化安装时没有ifconfig命令,没有firewalld.service
  6. 粤港澳大湾区菜篮子-农业大健康·林裕豪:从玉谋定功能产业
  7. fafu oj 1266 数数
  8. 信创产业已成现象级新风口,快来加入争做“弄潮儿”
  9. iOS 让UIButton根据文字内容自动计算宽高
  10. mysql 5.1 开启慢查询_mysql开启慢查询
  11. c51语言自定义头文件,C51语言头文件包括的内容有
  12. 到底什么是移动边缘计算?
  13. 富士通FMV-253L的使用
  14. 嵌入式课程设计 —— GPIO接口编程
  15. 加州大学戴维斯分校 计算机科学,美国王牌专业!加州大学戴维斯分校计算机科学专业等你来...
  16. php生成appid,请求认证密钥-AppID
  17. epoch - iteration - batch
  18. Python-TypeError:takes no arguments
  19. 【Mysql】SQL性能分析
  20. 2019几大主流的前端框架,几款目前最热门的前端框架

热门文章

  1. 你不会还不知道B/S与C/S的区别吧?
  2. 附录I-1 体系结构设计报告
  3. 汽车喇叭E-mark认证详情
  4. 2020.7月做题记录
  5. 想下载《假如蜗牛有爱情》抢先版?信了你的邪会中木马!
  6. Typescript是什么? Typescript都有哪些?
  7. little w and Soda 牛客练习赛34
  8. curl网络访问时报错:(56) Recv failure: Connection timed out
  9. 实战 SQL:亚马逊、京东等电商平台的销售排行榜和飙升榜
  10. ylmf os安装小心丢失系统