满意答案

vgvm7321

2013.07.19

采纳率:52%    等级:11

已帮助:11521人

正好我们做了这个实验

你知道的,把数据段中的端口地址改为你的计算机可识别的8255A的端口地址

;从键盘接收数字,在七段数码管上显示

data segment

ioport equ 2400h-0280h

io8255a equ ioport+288h

io8255b equ ioport+28bh

led db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh

mesg1 db 0dh,0ah,'Input a num (0--9h):',0dh,0ah,'$'

data ends

code segment

assume cs:code,ds:data

start:

mov ax,data

mov ds,ax

mov dx,io8255b ;使8255的A口为输出方式

mov ax,10000000b ;80h,A组方式0,A口输出,B组方式0,B口输出,C口输出

out dx,al

sss:

mov dx,offset mesg1 ;显示提示信息

mov ah,09h

int 21h

mov ah,01 ;从键盘接收字符

int 21h

cmp al,'0' ;是否小于0

jb sss ;若是则退出

cmp al,'9' ;是否大于9

ja sss ;若是则退出

and al,0fh ;将所得字符的ASCII码减30H

mov bx,offset led ;bx为数码表的起始地址

xlat ;求出相应的段码

mov dx,io8255a ;从8255的A口输出

out dx,al

jmp sss ;转SSS

exit:

mov ah,4ch ;返回DOS

int 21h

code ends

end start

30分享举报

8255数码管显示c语言,用汇编语言编写七段数码管显示1~9,用8255A接口芯片,用中断方式控制。...相关推荐

  1. 数码管识别c语言代码,OpenCV之七段数码管识别(含代码)

    1 背景 利用opencv识别数码管,采用传统的穿线法,前提是利用深度学习目标检测的方法,在机柜中将数码管区域切割出来,然后再对切割出来的区域进行识别,判断数字是多少.切割出来的形状如图所示: 数码管 ...

  2. 汇编语言实现七段数码管显示控制实验

    一 实验目的 1)掌握8255方式0的工作原理.初始化设置方法. 2)掌握I/O接口地址译码电路的工作原理及端口地址的计算方法. 3)掌握I/O端口的读写操作及编程方法. 4)掌握数码管显示数字的原理 ...

  3. 单片机c语言数码管显示循环0到9程序,七段数码管0~9循环显示程序

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 编写程序实现80C51的P0口控制一个数码管显示,让它循环显示0~9等十位数字,时间间隔为1秒钟. 利用查表程序可以完成BCD与七段码的转换,从而取代硬件 ...

  4. python七段数码管绘制英文字母_Python实例之七段数码管绘制理解

    Python 实例之七段数码管绘制理解 八段线的编号如上图所示.基本逻辑为画笔从 1 走到 7 ,每一步画笔落下代表画或者是 画笔抬起代表不画,最终形成 1-9 的数字排列.以下理解均为个人学习理解. ...

  5. 秒表的数码管小数点的显示C语言,4位7段数码管秒表,一位小数,用at89c51单片机,汇编语言...

    ;要求:至少包括4个功能:时间清零:启动计时:暂定计时:继续计时: ;      计时最小单位0.1 秒,最大计时时间999.9秒, ;      用数码管显示时间,同时要求按下任何一个功能键必须有声 ...

  6. 四位数码管树莓派c语言,用树莓派和四位数码管模块做一个时钟

    8种机械键盘轴体对比 本人程序员,要买一个写代码的键盘,请问红轴和茶轴怎么选? 预备知识 如图,这是一个4位数码管模块,由两片 74HC595 级联控制,引脚如图,从上到下,分别为VCC(3.3V/5 ...

  7. shp文件显示 c语言,上传并在地图中显示Shp文件

    前段时间参与了一个项目,客户有一个功能需求是上传SHP文件并在地图上显示,然后在此基础上做缓冲区处理.经过对比测试,最终选择了shapefile.js工具,在此做个记录. shapfe.js能够将Es ...

  8. c语言实现7段数码管显示,七段数码管显示实验.doc

    七段数码管显示实验 单 片 机 实 验 报 告 实验九 七段数码管显示实验 实验目的 学习七段数码管的工作原理: 学习数码管与8051单片机的接口方法: 掌握动态扫描显示技术. 实验原理 如图4.9- ...

  9. 【51单片机】七段数码管显示实验+详细讲解

    [51单片机]七段数码管显示实验 前言 一.兵马未动,粮草先行--认识七段数码管 1.关于显示器 2.七段数码管 3.七段数码管的结构 4.数码管显示方法 二.数码管显示程序 1.静态显示 效果 2. ...

最新文章

  1. DeepMind详解新WaveNet:比原来快千倍,语音更自然 | 附论文
  2. java编程的例子_java编程实例
  3. 安装Windows10,Ubuntu双系统14.04LTS记录
  4. Redis性能指标监控
  5. Quartz Job scheduling 基础实现代码
  6. Gitter - 高颜值GitHub小程序客户端诞生记
  7. 清除无用工具栏:CommandbarCleaner下载
  8. webservice发布及远程调用
  9. 2020互联网大厂中秋礼盒PK!我酸了!
  10. WebLogic MIB and AdventNet MIB Browser工具使用
  11. NVIDIA 旧版显卡驱动下载及对应CUDA,CUDNN安装教程
  12. IOS 检测摇一摇动作的实现方式
  13. HDU 4966 GGS-DDU [最小树形图]
  14. php 数独计算器,问题描述:数独(Sudoku)是一款大众喜爱的数字逻辑游戏。玩家需要根据9X9盘面上的已知数字_题来了...
  15. Android中的临时文件
  16. 电压暂降求交流,加Q
  17. 基于JAVA毕业设计的电脑配件购物商城设计与实现
  18. 常用工具软件-官网下载地址
  19. cv::imread读不出图片的解决办法
  20. 云栖大会,一场边缘云计算的「超前瞻」之约

热门文章

  1. BIOS入门基础------porting单板
  2. Z-WAVE TIME AND DATE BASICS ZWAVE网络中的时间管理
  3. ElementUI面试题
  4. MMDetection在ScanNet上训练
  5. MiniGui、TinyOS相继开源中,国产loT操作系统大阅兵
  6. 计算机在机械制造领域中的应用论文,计算机技术在机械制造领域的应用论文
  7. 整型数据在内存中的存储(超详细)
  8. 集群、分布式、微服务的区别和介绍
  9. jbuilder9创建窗体程序简单实例
  10. 具体的运输层协议---UDP和TCP