[Place 30-494] The design is empty Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports.

我碰到这个问题是顶层模块没有output,我当时在测试一个bram的读取,就在顶层模块下例化一个状态机用于rd/wr,结果就报错了。

解决方案是加入一个output,随便给他绑定一个没用的管脚,over~

Vivado错误[Place30-494]相关推荐

  1. vivado错误日志 [Place 30-574]

    报错信息 [Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condi ...

  2. fpga vivado 错误总结(本人遇到以及改正)

    [Common 17-48] File not found:********ethernet_test.ltx 造成的原因以及改正:把一个芯片自带的时钟写进别的模块里了,可以生成比特流文件,但是不能少 ...

  3. python使用手册-Python参考手册(第4版)

    第一部分 Python语言 第1章 Python简介 2 1.1 运行Python 2 1.2 变量和算术表达式 3 1.3 条件语句 5 1.4 文件输入和输出 6 1.5 字符串 7 1.6 列表 ...

  4. python twisted和flask_Python高效开发实战——Django、Tornado、Flask、Twisted(第2版)

    上篇 Python基础第1章 Python基础知识2 1.1 Python综述3 1.1.1 了解Python的特性及版本3 1.1.2 安装Python5 1.1.3 使用Python原生编辑器8 ...

  5. Python参考手册(第4版)pdf

    下载地址:网盘下载 内容简介  · · · · · · 本书是权威的Python语言参考指南,内容涉及核心Python语言和Python库的最重要部分.本书内容简洁扼要.可读性强,书中还包括了一些没有 ...

  6. python高效开发实战--djangotornadoflasktwisted(第2版_Python高效开发实战——Django、Tornado、Flask、Twisted(第2版)...

    上篇 Python基础第1章 Python基础知识2 1.1 Python综述3 1.1.1 了解Python的特性及版本3 1.1.2 安装Python5 1.1.3 使用Python原生编辑器8 ...

  7. Vivado 2020.1 and 2020.2 错误 arm-none-eabi-ar: *.o: Invalid argument

    今天用vitis 2020.1构建一个项目,突然在make的时候报错 arm-none-eabi-ar: *.o: Invalid argument. 关键报错的还是vivado 自己生成的make ...

  8. [error] Vivado代码仿真时错误提示:ERROR: [Common 17-39] ‘launch_simulation‘ failed due to earlier errors.

    仿真错误描述: 作为新手在学习FPGA时的问题,使用Verilog语言在Vivado中编程,在进行仿真时出现错误提示如下: [USF-XSim-62] 'compile' step failed wi ...

  9. 在vivado中GTP GTH GTZ使用GTGREFCLK时 Vivado_DRC: (REQP-52) 或Vivado_DRC: (REQP-49)错误解决办法

    本文首发于hifpga.com XILINX的手册上明确指出了可以用于测试目的使用GTGREFCLK(实际上量产中也有人这么用,通常是为了省差分晶振,或者是没有频率合适的差分晶振,这么用当然是有一些前 ...

最新文章

  1. 测试Spring的“会话”范围
  2. 李秀计算机文化基础,计算机文化基础(李秀)绪论.pptx
  3. python中的是干什么用的_python主要用来干什么?
  4. 用计算机说唱的 那个男的是谁,中国男rapper排名 中国rap说唱饶舌歌手排名
  5. 昨天考了网工,一点感想
  6. 调用iframe 中的js[兼容各种浏览器]
  7. How to do Regularization?(如何正则化)(1)----吴恩达机器学习
  8. 【阅读笔记】BI系统介绍及建设思路
  9. GameSalad:率先使用HTML5发布新游戏
  10. mongodb mongoose 的使用
  11. 送书 | 教你爬取电影天堂数据
  12. 4.3 期货每日早盘操作建议
  13. 【Bus】编写一个Demo虚拟的总线-设备-驱动模型
  14. 爱快可迅速普及家庭专线?
  15. DBSCAN聚类——Python实现
  16. kettle使用--1.mysql多表关联导入mongoDB
  17. ubuntu10.10下更新无线网卡驱动
  18. 影视剧中的武汉取景地,你去过几个?
  19. GIF动图制作小工具推荐
  20. EAUML日拱一卒-微信小程序实战:位置闹铃 (13)-使用类优化程序结构

热门文章

  1. 有赞android电话面试,挖财 / 有赞电话面试 题目分享
  2. Vuex使用以及模块化\namespaced 命名空间
  3. Codis和Redis-cluster对比
  4. 什么是ui设计培训?ui培训课程难学吗?
  5. PackageManagerService启动详解(三)之开始初始化阶段流程分析
  6. 【青云志】青立方Qing³ 超融合系统发布
  7. MnasNet架构解析与复现-神经架构搜索
  8. android开机动画制作与播放原理简介
  9. 扬州宇安电子 招聘FPGA逻辑工程师
  10. WINCE 系统中的同步机制