1、for语法使用规则

标号:for 循环变量 in 离散范围 generate

<并行语句>;

end generate 标号;

代码示例如下:

signal data_7p4bit : std_logic_vector(7*4-1 downto 0);
    signal data_7p_bit : std_logic_vector(6 downto 0);
      G_04deg : for I in 0 to 6 generate 
      process(rst,clk)
      begin
          if rst='1' then
              data_7p4bit(4*(i+1)-1 downto 4*i )<=(others=>'0');
          elsif clk'event and clk='1' then        
              if data_7p_8bit(i)='1' then
                  data_7p4bit(4*(i+1)-1 downto 4*i )<="1100";
              else
                  data_7p4bit(4*(i+1)-1 downto 4*i )<="0100";
              end if;            
          end if;
      end process;
      end generate G_04deg;

vhdl语言基础篇-for相关推荐

  1. #C语言-基础篇(Ⅳ)

    目录 九.结构体(基础) 9.1 创建结构体 9.2 结构体初始化 9.3 结构体变量的数据打印 10.结语 九.结构体(基础) 定义:用于描述复杂对象, 9.1 创建结构体 比如:描述一个学生,需要 ...

  2. VHDL语言基础-VHDL程序的基本结构与主要构件

    目录 VHDL程序的基本结构: 一个完整的VHDL程序包括: Example: VHDL的主要构件: VHDL程序的基本构件: 主要构件: VHDL的主要构件-库: 使用格式: Example: VH ...

  3. Go语言基础篇 (一)初识Golang

    文章目录 发展历程 语言特点 适用人群 我为什么学习Golang 注意事项 系列文章分类 下期预告 参考链接 发展历程 Go语言是在2007年由Robert Griesemer.Ken Thompso ...

  4. VHDL语言基础-基本语句

    目录 VHDL基本语句: 并行语句: 并行语句常包括以下七种: 赋值语句: 使用格式: 条件赋值语句: 使用格式: 选择信号赋值语句: 使用格式: 进程语句: 使用格式: Example:D触发器: ...

  5. C语言基础篇02:单链表实现学生成绩管理系统

    单链表实现学生成绩管理系统 前言 需求分析 详细设计 增加成绩信息 删除成绩信息 修改成绩信息 查询学生信息和浏览学生信息 总结 前言 上篇已经讲过单链表的基本实现,这篇将以一个简单的管理系统入手. ...

  6. VHDL语言基础-概述

    目录 概述: 什么是VHDL: VHDL的起源及发展: 使用VHDL的目的: VHDL的用途: VHDL与verilog HDL的比较: VHDL特点: 举例:二路选择器描述的变化 概述: 什么是VH ...

  7. C++语言基础篇学习总结及心得体会(难度极低)

    关于C++的语言部分(信息学奥赛一本通),我自己总结了一部分的知识. 因为过于基础,第一部分源代码的简介和使用我就不讲了. 我直接从第二章顺序结构程序设计开始讲.首先,我认为在这一章内只要理解一个因果 ...

  8. 每日一题(C语言基础篇)2

    题目描述:使用C语言将一个整型数字转换成字符串并倒序打印,例如:123转换成字符串321,-1234转换成字符串-4321. 代码实现: #include <stdio.h> #inclu ...

  9. C语言基础篇(零)gcc编译和预处理

    导航: 1. gcc编译 2. 预处理 ----->x<------------->x<--------------->x<--------------->x ...

最新文章

  1. c语言枚举3位数相加等于10,C语言 联合和枚举
  2. ThreadPoolTaskExecutor多线程使用,及线程池配置
  3. UVa 10258 - Contest Scoreboard
  4. 腾讯郑兴:原生安全+协同防御是政企云安全治理的基石
  5. Swift 学习手记1,pod 的 类库使用
  6. LeetCode 1247. 交换字符使得字符串相同
  7. HTTP 协议中 Vary 的一些研究
  8. Wamp安装后环境搭建(WampServer Version 2.2)
  9. 在 C# 中,(int) ,Int32.Parse() 和 Convert.toInt32() 三种方法的区别
  10. LA 4728 (旋转卡壳) Squares
  11. 电脑摄像头一维条形码matlab识别
  12. java produces_java-在所有产生JSON的端点上使用@Produces(“...
  13. Session何时创建实例
  14. LVM: Logical Volume Manager 逻辑卷管理
  15. 带grub的软盘镜像制作
  16. Redis实战(通俗易懂,超详细攻略) V2.0版本
  17. 软件工程实践 Blog17
  18. 我的markdown
  19. 2021最新百度、头条等公司Android面试题目,附小技巧
  20. python分支机构_基于Python爬取天眼查网站的企业信息!Python无所不能!

热门文章

  1. 计算机语言表示教师节快乐,教师节英文祝福语(精选50句)
  2. 龙芯回应电脑脱销质疑:不应与假汉芯相提并论
  3. 什么是哈希冲突?怎么解决哈希冲突。
  4. 不必再造轮子了, 这款代码生成器(一键生成)真的很强
  5. IDEA+Java+JSP+Mysql+Tomcat实现Web学校教材管理系统
  6. php平台cdn搭建,PHP 搭建一个网站配置CDN产品?
  7. Qt学习day03 Qt设计师(designer) Qt创造器(qtcreator)
  8. Python 实现ZmapScan 扫描
  9. 《智能制造》赵亚波《工业控制计算机》2002年15卷第3期
  10. struts+ajax返回json数据类型的各种情形及对应的Demo