1、多路器简介

简称:多路器

功能:多输入  单输出   组合逻辑电路

2、verilog代码实现:

module Mux_8(addr,in1,in2,in3,in4,in5,in6,in7,in8,Mout,nCS);

input[2:0] addr;

input[width-1:0] in1,in2,in3,in4,in5,in6,in7,in8;

input nCS;

output[width-1:0] Mout;

reg[width-1:0] Mout;

parameter width=8;

always@(addr or in1 or in2 or in3 or in4 or in5 or in6 or in7 or in8 or nCS)

  begin

    if(!CS)

      case(addr)

      3'b000: Mout=in1;

      3'b001: Mout=in2;

      3'b010: Mout=in3;

      3'b100: Mout=in4;

      3'b101: Mout=in5;

      3'b110: Mout=in6;

      3'b111: Mout=in7;

      endcase

    else

      Mout=0;

  end

endmodule

*************************************************************

made by qidaiYMM, your comment is appreciated.

email:1519882610@qq.com

转载于:https://www.cnblogs.com/qidaiymm/p/4887615.html

多路选择器(multiplexer)简介相关推荐

  1. verilog 多路选择器 multiplexer

    verilog 多路选择器 multiplexer 自己写了一个多路选择器,写的端口数量太多,所以是用来看行为级仿真的. // An highlighted block module multiple ...

  2. HDLBits答案(7)_Verilog多路选择器

    Verilog多路选择器 HDLBits链接 定义 多路选择器(Multiplexer)简称多路器,它是一个多输入.单输出的组合逻辑电路,在数字系统中有着广泛的应用.它可以根据地址码(选择码)的不同, ...

  3. 从底层结构开始学习FPGA(4)----MUX多路选择器(Multiplexer)

    文章目录 系列目录与传送门 一.什么是MUX 二.FPGA内部的MUX 三.总结 系列目录与传送门 <从底层结构开始学习FPGA>目录与传送门 一.什么是MUX 多路选择器MUX是一个多输 ...

  4. 转载:从底层结构开始学习FPGA(4)— MUX多路选择器(Multiplexer)

    本文转载自CSDN博主「孤独的单刀」的原创文章,原文链接:https://wuzhikai.blog.csdn.net/article/details/124764526 一.什么是MUX 多路选择器 ...

  5. 1组合逻辑电路--多路选择器与多路分解器

    1.2多路选择器 1.2.1不带优先级的多路选择器 四路选择器如下 代码如下 View Code 1 module multiplexer 2 ( 3 input iA, 4 input iB, 5 ...

  6. HDLBits 系列(10)(Mux256to1)多路选择器的固定思维,你别想太多!

    目录 抛砖引玉 思维陷阱 很有意义的语法讨论 最后想说的一些话 抛砖引玉 本文有一个诡计,先让我把你代入到多路选择器中,见如下一个小问题: Create a 16-bit wide, 9-to-1 m ...

  7. (73)多路选择器(二选一)

    (73)多路选择器(二选一) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)多路选择器(二选一) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)F ...

  8. (20)FPGA多路选择器设计(第4天)

    (20)FPGA多路选择器设计(第4天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA多路选择器设计(第4天) 5)技术交流 6)参考资料 2 FPGA ...

  9. 多路选择器——Verilog HDL语言

    多路选择器 任务描述 相关知识 逻辑原理 多路选择真值表 编程要求 源代码 任务描述 设计一个 2 选 1 多路选择器.进一步熟悉 Verilog HDL 设计流程,组合电路的设计和测试. 相关知识 ...

  10. 多路选择器MUX总结-IC学习笔记(八)

    多路复用器是一种组合电路,它从许多输入信号中选择一个作为输出,本文先介绍两个MUX的简单应用,主要关于如何将verilog与物理实现对应:第二当MUX作为时钟切换电路时如何避免毛刺(glitch). ...

最新文章

  1. matlab数据接口技术,实战MATLAB之文件与数据接口技术
  2. 怎么在Caffe中配置每一个层的结构
  3. AngularJS 的自定义指令
  4. android源码编译烧鸡,android4.0源码下载 编译 系统体验~图解
  5. Redis操作Set类型
  6. 没有bug队——加贝——Python 练习实例 25,26
  7. nginx简单的操作
  8. Maven右边栏红色,omitted for duplicate 而且Failed to execute goal on project xxx-service: Could not resolve
  9. [Ext JS4] 数据包
  10. 中关村十大AI研究院 | 盘点
  11. 代码审计工具学习之Seay(安装以及初步认识)
  12. 95后妈妈8成是全职,爸爸去哪了?
  13. 阿拉伯数字转换成汉语数字
  14. 硬件工程师需要掌握什么基础知识
  15. Java 面向对象(下) 抽象类 继承类 编写Application程序: 定义抽象类Shapes,其中包含抽象方法:
  16. Magento清空购物车
  17. css中的vw/vh与%
  18. 如何在vue项目中引入video.js插件
  19. Python 编程辅助工具--ipython
  20. 论人类下一代语言的可能—6.3.5形式化

热门文章

  1. spring @value注解
  2. Hadoop MapReduce编程模型
  3. 常用GIS行业技术架构总结-技术运维产品
  4. 抖音测试距离的软件,抖音测量长度的软件如何使用?抖音测距仪使用方法介绍...
  5. OSPF区域MD5认证
  6. Numpy_协方差与相关系数
  7. OriginLab OriginPro 8.1 SR3绿色注册版 – 专业绘图与数据分析软件
  8. 一般电脑丢失文件怎么才能迅速恢复丨迅龙数据恢复文件丨
  9. 智慧政务 | 云计算赋能传统政务升级
  10. Qt:ffmpeg视频解码实现