杭电计算机组成原理全加器设计实验1

杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理 实验项目:全加器设计实验 指导教师: 实验位置: 姓 名: 班 级 学 号 日 期:2015 年 4 月 15 日 实验目的(1) 学习 ISE 工具软件的使用及仿真方法。(2) 学习 FPGA 程序的下载方法。(3) 熟悉 Nexys3 实验板。(4) 掌握运用 Verilog HDL 进行结构描述与建模的技术和方法。(5) 掌握二进制全加器的原理与设计方法。实验环境ISE Design Suite 14.6Digilent Adept Nexys3 实验板实验内容(算法、程序、步骤和方法)(1) 画出全加器的真值表并化简,得出输出变量的逻辑表达式。(2) 创立新的工程,根据逻辑表达式进行结构描述方式进行建模。(3) 新建测试文件,进行软件仿真。(4) 生成 BIT 文件,下载入 FPGA,进行硬件测试。代码:Module 文件:module Add(A,B,Ci,F,Co); A,B,Ci;output F,Co;wire A,B,Ci,F,Co;xor X1(F,A,B,Ci),X2(S2,A,B);and A1(S1,A,B),A2(S3,S2,Ci);or O1(Co,S1,S3); endmodule仿真文件:module test;reg A;reg B;reg Ci;// Outputswire F;wire Co;// Instantiate the Unit Under Test (UUT)Add uut (.A(A), .B(B), .Ci(Ci), .F(F), .Co(Co));initial begin// Initialize sA = 0;B = 0;Ci = 0;// Wait 100 ns for global reset to finish#100;// Add stimulus hereA = 0;B = 0;Ci = 1;#100;A = 0;B = 1;Ci = 0;#100;A = 0;B = 1;Ci = 1;#100;A = 1;B = 0;Ci = 0;#100;A = 1;B = 0;Ci = 1;#100;A = 1;B = 1;Ci = 0;#100;A = 1;B = 1;Ci = 1;#100;endendmodule(接上)实验内容(算法、程序、步骤和方法)数据记录和计算软件方程结果硬件测试结果:A B Ci F Co0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1结论(结果)经过实验,所设计的全加器输出的结果正确复合逻辑试验心得与小结学习了门级元件的使用,而且使用的是结构化建模,而不是行为建模,又是一次提高。指导教师评议 成绩评定: 指导教师签名:

使用计算机组成原理全加器设计,杭电计算机组成原理全加器设计实验1相关推荐

  1. 杭电计算机考研失败,2020杭电计算机考研感想

    初试 本科是普通二本电子专业,因为本科期间做过几个比赛,拿过几个奖所以考研前一直对自己很有信心,非211不读,不是985往后考虑.但是!我从3月份开始准备的,随着学习越来越发现考研其实和自己平时比赛实 ...

  2. 19杭电计算机考研科目,2019杭电计算机考研初试科目、参考书目、报录比汇总

    本文将由新祥旭徐老师全方位的对杭电计算机.软件工程专业考研进行解析,主要有以下几个板块:学院介绍,专业情况介绍,2019录取情况分析,考研科目介绍,专业课参考书目及备考指导等几大方面. 一.学院介绍 ...

  3. 杭电计算机组成原理教材答案,杭电计算机组成原理包建课后作业答案详解.doc...

    <运算器> P61 3.3写出下列各数的原码.反码和补码,机器数长度为8位: 真值 二进制真值 原码 反码 补码 (1)0 0000000 0,0000000 0,0000000 0,00 ...

  4. 杭电 2016 计算机组成原理,杭电计算机组成原理多功能ALU设计实验

    <杭电计算机组成原理多功能ALU设计实验>由会员分享,可在线阅读,更多相关<杭电计算机组成原理多功能ALU设计实验(6页珍藏版)>请在人人文库网上搜索. 1.杭州电子科技大学计 ...

  5. 2015年杭电计算机存储器扩展,杭电计算机组成原理存储器设计实验5

    <杭电计算机组成原理存储器设计实验5>由会员分享,可在线阅读,更多相关<杭电计算机组成原理存储器设计实验5(4页珍藏版)>请在人人文库网上搜索. 1.杭州电子科技大学计算机学院 ...

  6. 21杭电计算机考研回忆录

    21杭电计算机考研回忆录 前言 初试前 政治 英语 数学 408 每天作息 考试那两天 考试后 成绩 联系导师 写在实验室部分前 参加实验室面试 复试前 复试 总结 前言   2021.3.29中午官 ...

  7. 2011---2013年杭电计算机历年研究生复试---笔试编程

    1.输入三个正整数A.B.C(0<A.B.C<1000),判断这三个数能不能构成一个三角形. 转载请标明出处,原文地址:http://blog.csdn.net/hackbuteer1/a ...

  8. 2019杭电计算机考研经验贴(初试+复试)

    为期一年的杭电考研之旅结束啦!一年的付出总算没有白费,顺利上岸,进入杭电脑机交互实验室.今天和导师签了双选表,现在在回学校的高铁上,想着写一份经验贴,为下一届考研的学弟学妹们留下点东西. 一.初试 杭 ...

  9. 杭电考研计算机专业课_2019杭电计算机考研初试科目、参考书目、报录比汇总...

    原标题:2019杭电计算机考研初试科目.参考书目.报录比汇总 本文将由新祥旭徐老师全方位的对杭电计算机.软件工程专业考研进行解析,主要有以下几个板块:学院介绍,专业情况介绍,2019录取情况分析,考研 ...

  10. 2021杭电计算机考研数一英一408专业课考研经验贴

    2021杭电计算机考研数一英一408专业课考研经验贴 前言 考研准备 教材 其他 打基础阶段(3月-6月) 数学 英语 专业课 暑假冲刺阶段(7月-9月) 数学 英语 专业课 考前三个月(10月-12 ...

最新文章

  1. 防抖技术 | OpenCV实现视频稳流
  2. java输入字符数组_JAVA中怎样把用户输入的字符串存入数组中?
  3. _itemmod_day_limit
  4. 自己写的thinkphp自动生成类
  5. 获取文件夹下的文件名并存入txt中
  6. 结构损伤检测与智能诊断 陈长征_宿迁厂房安全检测多少钱介绍说明
  7. Hadoop、storm和Spark的区别、比较
  8. java yyyy-mm-dd 日期格式_Java中的日历日期为yyyy-MM-dd格式
  9. mongodb 下载地址,mongodb.dll 下载
  10. Storm入门之第8章事务性拓扑
  11. 家庭收支软件的示例--面向对象版本
  12. hdu1019--Least Common Multiple
  13. 我常用的分页存储过程
  14. python绘制散点图,非常全,非常详细(已验证)
  15. 计算机用户名中文改为英文,win10系统怎么更改user用户名中文为英文
  16. 【CSS 基础教程】
  17. n皇后问题 递归 C语言,n皇后问题 递归和非递归
  18. 关于DOM的知识点总结
  19. 【整理】C#中GridView隐藏指定列的方法
  20. 【开发心得】electron iohook集成使用方案

热门文章

  1. PAT-A1013. 数素数 (20)
  2. sql 脚本 昨天的日期获取,今天的前一天的数据获取, 前两个月的今天
  3. mac无法读取移动硬盘怎么办?mac怎么使用ntfs硬盘
  4. Xpath根据text内容快速定位
  5. c语言生日蛋糕图片,poj1190生日蛋糕
  6. IBM WMB 使用方法
  7. 微分方程matlab绘图,用matlab解微分方程组并作图
  8. 数据分析师工作内容 数据分析的六个步骤
  9. 【信号用指数、正弦和余弦表示的原因】
  10. 大数据-zookeeper(上)