• 奇校验电路原理

奇校验:

以此为例,输入信号为Symbol,包含7为信息码元和1位校验位。

发送端通过对校验位赋值,使输入信号中1数目为奇数。

在传输过程中信号可能会受到干扰导致码元翻转,为了判定是否发生了传输错误,采用奇校验。

根据接收的信号中1的总数目是否为奇数,来判定传输过程中是否发生错误。若为奇数,视作无误(isErr=0);若为偶数,视为有错(isErr=1)。

  • 功能模块

说明:暂时将最低位视作校验位

考虑对输出信号采用按位异或,奇数个1异或结果为1,偶数个1异或结果为0。

例如:

example_1 = 8'b1110_0001;

则^example_1 = 0

example_2 = 8'b1110_0011;

则^example_2 = 1

//oddcheck.v
//odd check
/*
isErr = 0 -->Right
isErr = 1 -->Wrong
*///determine parity
/*
module GetParity(in, parity);input   wire  [6:0] in;output  wire  [7:0] parity;assign parity = ( ^in ) ? {0,in} : {1,in};endmodule
*///check
module OddCheck(Symbol, isErr);input   wire  [7:0] Symbol;output  wire        isErr;assign isErr = !(^Symbol);endmodule
  • 奇校验功能测试(在此仅检测OddCheck功能)
`timescale 1ns/1psmodule OddCheck_tb;reg [7:0] Symbol;wire      isErr;parameter count = 20;integer i;OddCheck checktest(.Symbol(Symbol),.isErr(isErr));initialbeginfor(i = 0;i < count;i = i + 1)beginSymbol = {$random} % 256;$monitor($time,"Symbol = %b, isErr = %b\n",Symbol,isErr);#10;end endendmodule

Verilog[奇校验电路]相关推荐

  1. verilog简单奇校验

    介绍 ·奇偶校验:根据被传输的一组二进制代码的数位中"1"的个数是奇数或偶数来进行校验.采用奇数的称为奇校验,反之,称为偶校验.采用何种校验是事先规定好的.通常专门设置一个奇偶校验 ...

  2. FPGA笔试题解析(五):串并转换与奇分频电路

    题目:使用状态机实现序列检测器? 序列检测器的状态机实现,以前的博文写的很多,这里贴出两个简单易懂的,看需要的部分即可: 序列检测器的Moore状态机实现 序列检测器的Mealy状态机实现 题目:用V ...

  3. (23)System Verilog设计二分频电路

    (23)System Verilog设计二分频电路 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog设计二分频电路 1.二分频电路基础知 ...

  4. 计算机组成原理课程设计海明码,海明码生成与校验电路的设计

    海明码生成与校验电路的设计 沈阳航空航天大学 课课 程程 设设 计计 报报 告告 课程设计名称:计算机组成原理课程设计计算机组成原理课程设计 课程设计题目:海明码生成与校验电路的设计海明码生成与校验电 ...

  5. 【汇编】奇校验程序:输入一个字符,编写一个程序判断这个字符中1的个数,如果是偶数个1,则校验位(字符最高位)为1,如果是奇数个1,则校验位为0。并显示加入校验位前后该字符的二进制代码。

    奇校验程序:输入一个字符,编写一个程序判断这个字符中1的个数,如果是偶数个1,则校验位(字符最高位)为1,如果是奇数个1,则校验位为0.并显示加入校验位前后该字符的二进制代码. 编译结果:字符'0'A ...

  6. logisim计组实验五 CRC校验电路

    文章目录 CRC(7,3)串行编码电路 CRC(21,16)并行编码电路 CRC(21,16)并行解码电路 CRC校验电路的logisim设计,有什么问题就提出来吧~ 电路文件已经托管至Github, ...

  7. 数据传输中的 奇校验、偶校验

    1.在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码 0 和 1 组合而成的.系统内部或外部干扰等原因,可能是数据信息在传输过程中产生错误,例如在发送端,待发送的数据是 8 位,有 ...

  8. 串口通信 / 奇校验、偶校验、0 校验和 1 校验

    --------------------------------------------- -- 时间:2019-01-28 -- 创建人:Ruo_Xiao -- 邮箱:xclsoftware@163 ...

  9. 什么是奇偶校验原理?奇校验、偶校验

    奇校验:一个字节8位中"1"的个数,校验位,添加一位,使9位中"1"的个数为奇数:偶校验同理. 奇校验:就是让原有数据序列中(包括你要加上的一位)1的个数为奇数 ...

最新文章

  1. python 求和为正整数n的连续整数序列
  2. NSArray 与 NSMutableArray 的排序
  3. 《时间管理:如何充分利用你的24小时》—让你时间发挥最大效用
  4. 程序员们请别做下一个小贝
  5. 计算机专业杀毒,计算机病毒查杀
  6. Qt工作笔记-主界面往模式对话框emit信号,有注意的问题
  7. C#算法设计查找篇之03-插值查找
  8. vue2.0路由(跳转和传参)经典介绍
  9. 聚类-----KMeans
  10. 断线的风筝,该飘向哪里
  11. c语言考试中操作题文件,计算机二级考试C语言操作题题库(21页)-原创力文档...
  12. 学生学籍管理系统(c语言)
  13. winform 窗体的单例模式
  14. TypeError: only integer tensors of a single element can be converted to an indexValueError: only one
  15. 工业级氯化锂2022年全球行业分析报告
  16. 如何在同一台服务器上绑定多个域名?
  17. KDZD地埋线短路漏电试扎器
  18. PM2入门及其常用命令
  19. 重庆大学计算机非全学费,重庆大学非全日制研究生学费多少,为什么非全日制研究生学费这么贵?...
  20. 对scoped的理解

热门文章

  1. Bilateral Filters(双边滤波算法)的超简单原理,学不会你打我。
  2. iOS新闻客户端开发教程7-新闻列表
  3. 从程序员到数据科学家:SAS 编程基础 (06)- DATA步与PDV
  4. 九度笔记之 项目安排
  5. 苹果首款AR眼镜曝光:搭载订制ios,却不支持wifi...
  6. Matlab中save实现保存数据到mat文件的正确使用
  7. 多功能人证合一比对系统
  8. 骑马与砍杀补丁php文件,做了个处决补丁 - MOD制作资料区 - 骑马与砍杀中文站论坛 - Powered by Discuz!...
  9. Recovering Tables and Table Partitions
  10. python实现Kruskal算法