IP核设置

Ln(x)函数计算IP核设置为一个组合电路模块,不需要时钟



测试代码

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2018/06/19 15:45:55
// Design Name:
// Module Name: MS
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module MS(input clk,input rst,input [31:0] din);//reg clk;//Ln函数寄存器,浮点数输入reg in_valid;reg [31:0] Ln_indata;wire out_valid;wire [31:0] Ln_outdata;Ln Ln (.s_axis_a_tvalid(in_valid),            // input wire s_axis_a_tvalid.s_axis_a_tdata(Ln_indata),              // input wire [31 : 0] s_axis_a_tdata.m_axis_result_tvalid(out_valid),  // output wire m_axis_result_tvalid.m_axis_result_tdata(Ln_outdata)    // output wire [31 : 0] m_axis_result_tdata);always@(clk)if(!rst)beginLn_indata<=32'b0; in_valid<=1'b0;endelsebeginLn_indata<=din; in_valid<=1'b1;endendmodule

testbench

`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2018/06/19 16:53:43
// Design Name:
// Module Name: MS_tb
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module MS_tb();reg clk;reg rst;reg[31:0] din;MS ms(.clk(clk),.rst(rst),.din(din));initialbeginclk =1'b0;rst =1'b0;din=32'b0;#100rst=1'b1;din=32'h40000000;endalways #10 clk=~clk;
endmodule

前Simulation结果

输入为Ln(2)

输出满足要求

备注:数据是单精度的浮点数

Vivado IP核使用 Ln(x)函数计算相关推荐

  1. Vivado IP核之复数浮点数除法 Floating-point

    Vivado IP核之复数浮点数除法 Floating-point 目录 前言 一.复数浮点数除法示例 二.Floating-point IP核配置步骤 三.整体思路 四.仿真 1.顶层代码 2.仿真 ...

  2. Vivado IP核之复数浮点数累加 Floating-point

    Vivado IP核之复数浮点数累加 Floating-point 快速实现多个数据相加 目录 前言 一.Floating-point IP核配置步骤 二.仿真 1.顶层代码 2.仿真代码 三.仿真结 ...

  3. Vivado IP核被锁的解除方法

    在使用vivado进行开发时,我们经常会用到别人的工程,如果我们更改工程所使用的芯片型号(Vivado工程导入IP核的原工程和当前工程的FPGA开发板不一致),或者别人的工程所用到的vivado版本与 ...

  4. Vivado IP核解锁

    Vivado工程中有IP核被锁住的情况,主要原因有用新版本的Vivado去打开旧版本的工程.Vivado工程导入IP核的原工程和当前工程的FPGA开发板不一致等. 可以通过如下步骤解锁IP核: 1.T ...

  5. Vivado IP核Global 和 out of context per IP两种综合方式区别

    Vivado IP核Global 和 out of context per IP两种综合方式区别 两者区别 OOC生成的文件 注意.注意.注意 1.更新IP核参数时需注意 2.IP核中包括高阻态时需注 ...

  6. vivado IP核知识点学习

    OCC模式 OOC是Vivado开发套件提供的一项技术,该综合模式本质上是一种自底向上(bottom-up)的综合方法,该方法可用于IP.IPI(IP Integrator)的Block Design ...

  7. 【vivado IP核学习】DDS complier v6.0使用“SIN/COS LUT only”

    DDS complier v6.0使用 根据使用需求,设置dds格式为 "sin cos lut only",赛灵思官方dds IP的说明文档对该模式的描述如下: When con ...

  8. vivado IP核:ILA、时钟、RAM、FIFO

    ILA vivado工具集成了逻辑分析仪,ILA IP核用于替换外部的逻辑分析仪,添加探针来监控内部信号波形变化. 1)IP Catalog 2)搜索栏可搜索IP核,如创建FIFO.RAM等. 3)搜 ...

  9. 手把手教你打包一个自己的Vivado IP核

    写在前面 模块复用是逻辑设计人员必须掌握的一个基本功,通过将成熟模块打包成IP核,可实现重复利用,避免重复造轮子,大幅提高我们的开发效率. 接下来将之前设计的串口接收模块和串口发送模块打包成IP核,再 ...

最新文章

  1. TX Text Control X10新特性之图像占位符合并
  2. mysql读取表最后10条数据
  3. sql server 2008 r2 没有维护计划_坚果R2发布,骁龙865+1亿像素+90Hz,4499元起
  4. AdminLTE基本使用介绍
  5. 一台7纳米光刻机月产能有多大,何为能卖上亿美元?
  6. plot函数_时间序列:python移动窗口函数前言
  7. java 64内存不足_请教一个 Java 内存占用的问题
  8. MySQL忘记密码后,修改
  9. Intel酷睿前世今生(二)
  10. Java程序员在写SQL程序时候常犯的10个错误
  11. 无线WIFI短信认证平台(互亿无线)
  12. mzy对于反射的复习
  13. MT6589下载工具,MT6589刷机工具
  14. 软考高级 真题 2010年上半年 信息系统项目管理师 综合知识
  15. VMware 安装失败解决方案,亲测有效
  16. EXCEL如何固定住一行和一列
  17. Xshell6安装与使用
  18. 校招c语言笔试题数组,华为校园招聘考试C语言C笔试题
  19. unity第三人称射击游戏_在游戏上第3部分完美的信息游戏
  20. 黑莓愿意递交用户数据,协助各国警方执法

热门文章

  1. 跟踪聪明钱:从分钟行情数据到选股因子_方正证券
  2. excel中计算两个日期的时间间隔
  3. tomcat启动不加载项目
  4. cgf通用游戏框架设计(一)
  5. js 高德地图根据后台坐标绘制轨迹
  6. DTO、VO和DO阐述定义
  7. Python基础入门学习笔记
  8. 01-React的安装-jsx语法
  9. Vue父组件传给子组件数据,子组件得不到数据解决方法
  10. 量化回测平台|有现成的不用吗?如何利用聚宽平台回测交易策略