《Verilog数字VLSI设计教程》是电子工业出版社2010年出版的图书,由威廉斯编著。讲述数字IC设计中常用技能与技术、工程设计中通常遇到的具体设计调试方法。

《目录》

第0章 概述

第1章 Verilog入门

第2章 Verilog基础知识1

第3章 Verilog基础知识2

第4章 锁相环和串行/解串器入门

第5章 存储与数组

第6章 计数器

第7章 强度和竞争

第8章 状态机和FIFO

第9章 事件

第10章 内建器件

第11章 顺序控制和并发

第12章 层次和generate

第13章 函数、任务和串并转换

第14章 UDP和开关级模型

第15章 参数和层次

第16章 配置和时序

第17章 时序检查和断言

第18章 解串器和升级PLL

第19章 升级解串器

第20章 完成串行/解串器

第21章 可测性设计和全双工串行/解串器

第22章 SDF

第23章 Verilog语言总结

第24章 深亚微米的问题及其验证

《Verilog数字VLSI设计教程》分成多个课程段,通过给出设计实例,讲解此类问题的解决方案。《Verilog数字VLSI设计教程》重在提高工程实践能力,读者对象为有一定硬件设计经验和数字电路基础的工程师以及掌握Verilog基本语法和数字设计基础知识的本科生。该书给出多个各自独立的单元,分别针对某个具体设计实例或设计中需要解决的问题展开详细讨论。自学的读者可以根据工作或学习的实际需要重点学习某些单元。作为培训教程,培训师可根据客户需求从众多练习中精选一部分开设专题讲座。

 ◆  ◆

下载方法

微信公众号:OpenFPGA

后台回复:Verilog数字VLSI设计教程 或 8001

最近Bai D网盘吞链接特别特别厉害,不知道是我自己网盘有问题还是举报的人有点多,还是他的算法更改导致很多链接审核不通过,我还在努力解决问题,大家不要急,链接失效的,现在重新分享也没用,会直接吞掉,现在的解决办法只能是大家通过公众号主页-右下角-帮助中心 添加本人微信,然后再分享,谢谢大家支持。

Verilog数字VLSI设计教程【PDF+光盘】相关推荐

  1. 基于QuartusII的verilog数字时钟设计

    基于QuautusII的Verilog 数字时钟设计 (1)基本功能 ①显示年.月.日.星期.时.分,秒,是否为闰年(只有校对生效情 况时间可以不连续) : ②定时与闹铃:到设定的时间(选择周一至周末 ...

  2. catia 创成钣金设计_CATIA钣金实例设计教程.pdf

    CATIA钣金实例设计教程 F1 CATIA教程 钣 设计大全 创成式钣 设计(Generative Sheetmetal Design )基于特征 的造型方法提供了高效和直观的设计环境,它允许在零 ...

  3. verilog数字跑表设计实现与仿真

    数字跑表课程设计:该设计有报告和代码 要求: 1.具有暂停/启动功能: 2.具有重新开始功能: 3.用6个数码管分别显示百分秒.秒和分钟. Solution:根据要求,采用自底向上的设计方法整体来说分 ...

  4. Verilog数字系统设计——数字时钟(带暂停和任意位置位)

    verilog数字时钟设计 功能说明 问题分析及模块实现 模24计数器 模60计数器 模6计数器 模10计数器 模60计数器 数码管驱动电路 11位控制位说明 分频器 数字时钟 顶层文件实现 写在后面 ...

  5. Verilog数字系统基础设计-数据转换器

    绪论 在一些应用中,两个电路模块交界处,一个电路模块的输出数据位宽大于另一个模块的输入数据位宽,此时需要进行数据转换.例如,在SATA控制器中,内部数据位宽为32比特,但是与外部物理收发器PHY的接口 ...

  6. Verilog数字系统基础设计-LFSR

    Verilog数字系统基础设计-LFSR 引言 LFSR(线性反馈移位寄存器)用于产生可重复的伪随机序列PRBS,该电路由n级触发器和一些异或门组成.在每个时钟周期内,新的输入值会被反馈到LFSR内部 ...

  7. Verilog数字系统基础设计-扰码与解扰

    Verilog数字系统基础设计-扰码与解扰 扰码可以对原始的用户数据进行扰乱,得到随机化的用户数据.发送电路在发送数据前先对数据进行随机扰乱,接收电路使用相同的扰乱算法重新恢复出原始的数据. 什么是扰 ...

  8. verilog hdl数字集成电路设计原理与应用_数字IC设计经典书籍推荐

    数字IC设计流程很复杂,从前端到后端,也有很多职位.在这里整理了个数字IC各个环节的经典必读书籍.市面上的书籍种类纷繁复杂,这里每种只推荐两本左右,如果需要,建议知识类的书籍还是购买正版,尊重作者,也 ...

  9. 使用Pulseview软件辅助verilog数字设计仿真协议解码

    简介 经常使用RTL例如verilog进行数字逻辑设计的朋友,仿真一定是一个绕不开的话题.在一些标准的数字接口和协议上,使用仿真软件例如modelsim或者vcs进行仿真后,得到的都是波形文件,使用相 ...

最新文章

  1. ELMo解读(论文 + PyTorch源码)
  2. 龙岗网络推广解析有关网站SEO排名上去了,但没实际性点击的问题
  3. COJ 2192: Wells弹键盘 (dp)
  4. 注解_自定义注解_格式本质
  5. JavaScript-12(脚本化CSS)
  6. mapview | 如何快速使用交互式地图展示空间数据信息
  7. linux安装 lr agent
  8. python基本代码教程-Python基础教程(第3版)
  9. Redis常见配置文件详解
  10. Java导入导出Excel控件简介
  11. 小谈工作面试 | 掘金技术征文
  12. 小程序源码:强大的万年历微信小程序
  13. 解决svchost.exe一直运行下载,占网速,关闭成功后再次重复开启,禁止不掉。亲测有效
  14. kata-container初探
  15. Python基础学习(2)基本数据类型、三元运算、深浅拷贝、函数式编程、参数、变量、lambda表达式、python内置函数、文件处理、上下文管理、递归、命名空间、闭包
  16. “跨次元”目标检测模型hold住各种画风,真人赛博恐龙梵高画作都能识别,复旦校友一作,在线Demo可玩...
  17. jira -workflow之父级任务关注人copy到子任务
  18. 普通投资者如何进行量化投资
  19. 数据结构与算法——中缀表达式转后缀表达式
  20. DownloadString”时发生异常:“基础连接已经关闭: 发送时发生错误

热门文章

  1. 奇虎360支持Unity引擎 将推3D页游无插件安装
  2. 2020自考计算机应用技术,2020年8月自考02316计算机应用技术真题及答案
  3. 2019汤家凤高等数学基础班笔记
  4. 树莓派python3.6.8安装opencv_子豪兄教你在树莓派上安装OpenCV
  5. flash air快速构建android demo测试程序
  6. 摸鱼一时爽,被抓社死场!盘点上班摸鱼的正确打开方式√
  7. 数据结构之链表(JAVA)
  8. IP KVM分布式支持CCTV打造全球首个8K视频工作室
  9. 在word文档里使用LaTeX数学公式字体 mathcal mathbb mathbf
  10. 颜色怎样搭配最好?网页颜色怎样搭配?